永发信息网

ISE报错" Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an a

答案:1  悬赏:80  手机版
解决时间 2021-03-16 04:04
  • 提问者网友:焚苦与心
  • 2021-03-15 06:33
ISE报错" Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X
最佳答案
  • 五星知识达人网友:独钓一江月
  • 2021-03-15 07:06
仿真时是要赋初值的问题。
例如在architecture上面,component定义下面直接写上
signal CLKOUT : STD_LOGIC:=‘0’; 这样就行
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯