永发信息网

VHDL中wait语句的问题

答案:3  悬赏:50  手机版
解决时间 2021-04-01 15:04
  • 提问者网友:一抹荒凉废墟
  • 2021-04-01 10:15
VHDL中wait语句的问题
最佳答案
  • 五星知识达人网友:西岸风
  • 2021-04-01 10:52
那就要看是wait语句的那种形式了,wait until,wait for是执行后面的语句,
wait on 可以执行后置语句,也可以重新启动进程(条件是信号量必须有一个新的变化,这与敏感信号表是一样的,其实就是看你把wait on后有无语句没有 那当然只有重新启动进程了)一般都把wait on当成敏感信号表来用
全部回答
  • 1楼网友:廢物販賣機
  • 2021-04-01 12:48
那就要看是wait语句的那种形式了,wait until,wait for是执行后面的语句,
wait on 可以执行后置语句,也可以重新启动进程(条件是信号量必须有一个新的变化,这与敏感信号表是一样的,其实就是看你把wait on后有无语句没有 那当然只有重新启动进程了)一般都把wait on当成敏感信号表来用
  • 2楼网友:怀裏藏嬌
  • 2021-04-01 11:36
那就要看是wait语句的那种形式了,wait until,wait for是执行后面的语句,
wait on 可以执行后置语句,也可以重新启动进程(条件是信号量必须有一个新的变化,这与敏感信号表是一样的,其实就是看你把wait on后有无语句没有 那当然只有重新启动进程了)一般都把wait on当成敏感信号表来用
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯