永发信息网

如何将十进制小数转换为二进制整数,因为FPGA里面只能有整数,而且为了保证精度,不能舍弃小数。

答案:1  悬赏:50  手机版
解决时间 2021-04-06 13:06
  • 提问者网友:你给我的爱
  • 2021-04-06 05:17
如何将十进制小数转换为二进制整数,因为FPGA里面只能有整数,而且为了保证精度,不能舍弃小数。
最佳答案
  • 五星知识达人网友:污到你湿
  • 2021-04-06 06:29
十进制转换二进制小数,直接转就行,十进制的0.5等于二进制的0.1,十进制的小数点后第n位的1表示10^(-N),譬如十进制小数点后第一位为0.1 = 10^(-1),二进制的小数点后第n位的1表示2^(-N),譬如二进制小数点后第一位为0.1 (二进制)= 2^(-1)=0.5(十进制),按照这个规律转换就可以,譬如对于10进制数10.75,转换成二进制就是1010.11,为了保证计算精度,你可以把1010.11左移两位去乘法运算,对于运算结果再右移两位,得到包含小数的结果,其他的处理类似.追问我现在不做运算,只要整数的二进制。比如你说的那个10.75,转为整数二进制。追答那上面已经说清楚了啊。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯