永发信息网

如何用Verilog产生pn序列

答案:1  悬赏:70  手机版
解决时间 2021-03-26 07:38
  • 提问者网友:我没有何以琛的痴心不悔
  • 2021-03-26 00:46
如何用Verilog产生pn序列
最佳答案
  • 五星知识达人网友:封刀令
  • 2021-03-26 01:51
我m序列代码赋值下来是,发现wire和x中间没有空格,这可能是导致你没有输出的原因,个人建议你的代码这样写: module prbs(clk,rst,m_out); input clk,rst; output m_out; reg[7:0] reg_buf; reg x; always@(posedge clk or posedge rst) if(!rs...
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯