永发信息网

如何在Quartus II 里使用Modelsim

答案:2  悬赏:40  手机版
解决时间 2021-03-27 19:02
  • 提问者网友:谁的错
  • 2021-03-27 09:15
如何在Quartus II 里使用Modelsim
最佳答案
  • 五星知识达人网友:何以畏孤独
  • 2021-03-27 09:40
目前这两款软件都是的,不需要许可证,安装简单,使用期限是30 天。 【注意】务必记住安装的路径,特别是ModelSim-Altera的安装路径。 2 指定ModelSim-Altera 6.5e的安装路径 打开Quartus II 11.0 软件,新建工程和文件并保存。 1.然后在菜单栏选择 tools->options; 2.在options选项卡中选中EDA tool options; 3.在该选项卡中下面的ModelSim-Altera一项指定安装路径为 E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中 ModelSim-Altera 6.5e的安装路径) 图1 指定ModelSim-Altera 6.5e 的安装路径 【注意】 如果没有指定ModelSim-Altera 6.5e 的安装路径,调用ModelSim-Altera 的时候会出现如下的错误提示: 图2 没有指定安装路径的错误提示 3 指定Quartus II 11.0 仿真软件 在Quartus II 11.0 界面菜单栏中选择Assignments->Settings。 1.选中该界面下EDA Tool settings 中的Simulation 一项; 2.Tool name 中选择ModelSim-Altera; 3.Format for output netlist 中选择开发语言的类型Verilog 或者VHDL 等, 4.Time scale 指定时间单位级别 5.Output directory 指定测试文件模板的输出路径(该路径是工程文件的相对路径)。 图3 指定Quartus II 11.0 仿真软件 4 生成仿真测试文件 选择Quartus II 11.0 开发界面菜单栏下Processing->Start->Start Test Bench Template Writer,提示生成成功。 图4 生成仿真测试文件 分页 5 配置选择仿真文件 打开仿真测试文件(在上述3 中指定的Output directory 目录下找到后缀名为逗.vt地的文件)并根据自己需要进行编辑。 1. 在Quartus II 11.0 界面菜单栏中选择Assignments->Settings->EDA Tool settings->Simulation; 2.选择Compile test bench 右边的Test benches; 图5 配置选择仿真文件(1) 3.然后在出现的界面中选择New,在新出现的界面中Test bench name 输入测试文件名字,在Top level module in test bench 栏中输入测试文件中的顶层模块名; 4.选中Use test bench to perform VHDL timing simulation 并在Design instance name in test bench 中输入设计测试文件中设计例化名默认为i1; 5.然后在Test bench files 栏下的file name 选择测试文件(在第3 步中指定的测试文件输出路径下的后缀名为逗 .vt 地 文件的测试文件),然后点击add,一步一步OK。 图6 配置选择仿真文件(2) 【注意】Test bench name 和Top level module in test bench 以及Design instance name in test bench 分别为逗.vt地文件的文件名、vt 文件中顶层实体模块名、Verilog 或者VHDL 文件中的模块的例化名。 6 仿真文件配置完成后回到Quartus II 11.0 开发界面 在Quartus II 11.0 界面菜单栏中选择菜单栏Tools 中的Run EDA Simulation Tool->EDA RTL Simulation 进行行为级仿真,接下来就可以看到ModelSim-Altera 6.5e 的运行界面,观察仿真波形。 本文为e-works 原创投稿文章,未经e-works 书面许可,任何人不得复制、转载、摘编等任何方式进行使用。如已是e-works 授权合作伙伴,应在授权范围内使用。
全部回答
  • 1楼网友:长青诗
  • 2021-03-27 10:37
1. 目的
当我们使用QuartusII,但是大多数朋友都习惯用Modelsim SE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上也有一些讲解,但是都是不太系统,特别是对初学者来说,使用的时候还是感到一头雾水。 本文的目的就是一个如何在Quartus 使用Quartus 和Modelsim 仿真的例子。
2.建立QuartusII 工程。
这里目的只是建立一个很简单很简单的QuartusII 的工程,逻辑采用原理图方式绘制。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯