永发信息网

如何用D触发器构成2倍频电路

答案:2  悬赏:50  手机版
解决时间 2021-11-26 17:54
  • 提问者网友:呐年旧曙光
  • 2021-11-26 12:57
如何用D触发器构成2倍频电路
最佳答案
  • 五星知识达人网友:有你哪都是故乡
  • 2021-11-26 13:57
具体连接方法见下图:

其Verilog代码如下:

Verilog代码如下:
module twice (clk, clk_out);
input clk;
output clk_out;
wire clk_temp;
wire d_outn;
reg d_out=0;
assign clk_temp = clk ^ d_out ;
assign clk_out = clk_temp ;
assign
d_outn = ~d_out ;
always@(posedge clk_temp)
begin
d_out <=
d_outn ;
end
endmodule
仿真波形如下:

全部回答
  • 1楼网友:上分大魔王
  • 2021-11-26 15:30
在百度的图片搜索有资料提供,
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯