永发信息网

fpga中寄存器资源的使用

答案:1  悬赏:40  手机版
解决时间 2021-02-02 22:00
  • 提问者网友:萌卜娃娃
  • 2021-02-02 19:05
fpga中寄存器资源的使用
最佳答案
  • 五星知识达人网友:妄饮晩冬酒
  • 2021-02-02 19:36
是这样的。不过不同公司或者型号的fpga结构上有点区别的。追问这样做会不会出问题啊,寄存器并不像我开始想的一样在一起的,而是分散开来的追答你在fpga内部使用寄存器内部le具体如何连线和使用一般自动由综合工具完成,你不用考虑太底层的架构,只要用verilog实现就好。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯