永发信息网

利用verilogHDL设计节日七个彩灯逐个亮,并循环闪烁。。。最好利用阻塞与非阻塞,麻烦你了

答案:2  悬赏:70  手机版
解决时间 2021-01-22 04:49
  • 提问者网友:欺烟
  • 2021-01-21 08:38
利用verilogHDL设计节日七个彩灯逐个亮,并循环闪烁。。。最好利用阻塞与非阻塞,麻烦你了
最佳答案
  • 五星知识达人网友:老鼠爱大米
  • 2021-01-21 10:10
阻塞赋值
module led_top(resetb, clk, led)
input resetb;
input clk;
output [6:0] led;
reg [6:0] led, led_wire;
always @(negedge resetb, posedge clk)
if (~resetb)
led <= 7'h01;
else
led <= led_wire;
always @(led)
led_wire = {led[5:0], led[6]};
endmodule

推荐使用非阻塞赋值
module led_top(resetb, clk, led)
input resetb;
input clk;
output [6:0] led;
reg [6:0] led;
always @(negedge resetb, posedge clk)
if (~resetb)
led <= 7'h01;
else
led <= {led[5:0], led[6]};
endmodule追问module non_block(c,b,a,clk);
output c,b;
input clk,a;
reg c,b;
always@(posedge clk)
begin
b<=a;
c<=b;
end
endmodule

能仿照这个例子吗?我们老师要求。。根据这个例子。。追答module led_top(resetb, clk, led)
input resetb;
input clk;
output [6:0] led;
reg [6:0] led;
always @(negedge resetb, posedge clk)
if (~resetb)
led <= 7'h01;
else
begin
led[0] <= led[6];
led[1] <= led[0];
led[2] <= led[1];
led[3] <= led[2];
led[4] <= led[3];
led[5] <= led[4];
led[6] <= led[5];
end
endmodule来自:求助得到的回答
全部回答
  • 1楼网友:西岸风
  • 2021-01-21 10:43
两个问题
1.分太低了
2.这个问题比较专业,去专门的电子论坛吧,这的人未必能行。。。。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯