永发信息网

如何在FPGA中用verilog语言创建动态数组,如果不可以有什么替代的方法

答案:3  悬赏:0  手机版
解决时间 2021-04-02 20:55
  • 提问者网友:川水往事
  • 2021-04-02 17:28
如何在FPGA中用verilog语言创建动态数组,如果不可以有什么替代的方法
最佳答案
  • 五星知识达人网友:一叶十三刺
  • 2021-04-02 18:10
Verilog 是个烙铁和焊锡,你别指望搞动态数组。你可以定义一个静态的,最大的可能性的兼容你要用的数组,然后根据状态去合理使用!
全部回答
  • 1楼网友:轮獄道
  • 2021-04-02 18:56
我MARK下学习一下,对这方面的知识不太了解,坐等大神指教。
  • 2楼网友:愁杀梦里人
  • 2021-04-02 18:19
verilog里面没有办法创建动态数组,system verilog里面可以用automatic 关键字创建动态数组,但是如果要是用于综合的话,最终运行时无论是数组还是函数都一定是静态的。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯