永发信息网

verilog里有符号数怎么写

答案:2  悬赏:20  手机版
解决时间 2021-01-28 00:04
  • 提问者网友:自食苦果
  • 2021-01-27 00:41
verilog里有符号数怎么写
最佳答案
  • 五星知识达人网友:忘川信使
  • 2021-01-27 01:40
使用$signed()和$unsigned进行有符号数与无符号数的转换 reg [7:0] regA, regB; reg signed [7:0] regS; regA = $unsigned(-4); // regA = 8'b11111100 regB = $unsigned(-4'sd4); // regB = 8'b00001100 regS = $signed (4'b1100); // regS = -4
全部回答
  • 1楼网友:过活
  • 2021-01-27 02:17
这是正确的,解释如下: integer在verilog中是有符号的32位数,最高位为符号位,表示的范围为: -(2的31次方)到2的31次方减1. tab是负数(-3),它的补码即为011...
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯