永发信息网

vhdl 赋值语句

答案:2  悬赏:20  手机版
解决时间 2021-12-03 04:45
  • 提问者网友:凉末
  • 2021-12-02 05:46
vhdl 赋值语句
最佳答案
  • 五星知识达人网友:梦中风几里
  • 2021-12-02 06:36
1, del<=dount; del 是输入信号, 不能赋值
2, case ...
when ....
when others => ledag<="1111111";------- 加上这个,其实没啥用,但语法要求
end case;

说说你用dount做啥用,然后好改
全部回答
  • 1楼网友:轻熟杀无赦
  • 2021-12-02 08:02
vhdl 赋值语句
这句的错误的原因是因为:你的又式是一个等式,而等式的结果得到的是一个布尔类型的值,而不是你所认为的逻辑值。布尔类型只有真、假两种结果;逻辑是‘0’、‘1’的二进制值;二者是有差别的,所以不能拿来赋值;

是二进制的意思了。因为前一阵接触到过X"fc",是指16进制的。
b指的是binary
我觉得也可以不加的,我还是VHDL初学者,也许是标准的问题。要好好看书哦
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯