永发信息网

求一个基于VHDL的八人抢答器

答案:1  悬赏:20  手机版
解决时间 2021-01-30 20:47
  • 提问者网友:黑米和小志
  • 2021-01-29 20:12
求一个基于VHDL的八人抢答器
最佳答案
  • 五星知识达人网友:煞尾
  • 2021-01-29 20:17
LIBRARY IEEE;
USE IEEE.Std_logic_1164.ALL;
ENTITY Responder IS
PORT(Start:IN Std_logic;
Key:IN Std_logic_Vector(7 DOWNTO 0);
Led:OUT Std_logic_Vector(7 DOWNTO 0));
END Responder;
ARCHITECTURE behave OF Responder IS
SIGNAL mask_off:Std_logic;
BEGIN
P1:PROCESS(Start,Key)
BEGIN
IF Start = '0' THEN
mask_off <= ‘0’;
ELSIF (Key = "11111110" OR Key = "11111101" OR Key = "11111011" OR
Key = "11110111" OR Key = "11101111" OR Key = "11011111" OR
Key = "10111111" OR Key = "01111111") THEN
mask_off <= ‘1’;
END IF;
END PROCESS;
P2:PROCESS(Start,mask_off)
BEGIN
IF Start = '0' THEN
Led <=(OTHERS => ‘0’);
ELSIF Rising_Edge (mask_off) THEN
Led <= NOT Key;
END IF;
END PROCESS;
END behave ;
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯