永发信息网

快来个大神呗。EDA,VHDL学的好的。知道制作 和调试6选1数据选择器的程序怎么写吗?如图?后面

答案:1  悬赏:20  手机版
解决时间 2021-11-16 18:18
  • 提问者网友:了了无期
  • 2021-11-16 06:25
快来个大神呗。EDA,VHDL学的好的。知道制作 和调试6选1数据选择器的程序怎么写吗?如图?后面
最佳答案
  • 五星知识达人网友:胯下狙击手
  • 2021-11-16 06:53
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY six_to_one IS
PORT(in1,in2,in3,in4,in5,in6: IN std_logic;
sel2,sel1,sel0: IN std_logic;
y: OUT std_logic);
END six_to_one;
ARCHITECTURE behavl OF six_to_one IS
BEGIN
PROCESS(in1,in2,in3,in4,in5,in6,sel2,sel1,sel0)
VARIABLE sel:std_logic_vector(2 DOWNTO 0);
BEGIN
sel := sel2&sel1&sel0;
CASE sel IS
WHEN "000" => y <= in1;
WHEN "001" => y <= in2;
WHEN "010" => y <= in3;
WHEN "011" => y <= in4;
WHEN "100" => y <= in5;
WHEN "101" => y <= in6;
WHEN OTHERS => y <= 'Z';
END CASE;
END PROCESS;
END behavl;
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯