永发信息网

verilog语言中如何实现把一个数的个位十位百位分离出来,比如123分出来三个数1.2.3

答案:1  悬赏:30  手机版
解决时间 2021-03-26 07:09
  • 提问者网友:情歌越听越心酸
  • 2021-03-25 20:55
verilog语言中如何实现把一个数的个位十位百位分离出来,比如123分出来三个数1.2.3
最佳答案
  • 五星知识达人网友:低音帝王
  • 2021-03-25 21:13
s= 123 ;

求个位:a = s%10; 说明 123 对 10 求余 = 3
求十位:b = s - a ; b = b / 10 ; b = b % 10 ; 说明 s = 123 - 3 = 120; b = 120 / 10 = 12; b = 12 % 10 = 2 ;
求百位:c = s - b * 10 - a ; c = c / 100 ; c = 123 - 2 * 10 - 3 = 100 ; c = 100 / 100 = 1;
a = 3 ,b = 2 ,c = 1
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯