永发信息网

跪求啊!!用VHDL如何编译一个256*8的ROM,急用啊!望大虾们多多帮忙啊~~最好有程序的!

答案:2  悬赏:0  手机版
解决时间 2021-04-27 22:37
  • 提问者网友:浩歌待明月
  • 2021-04-27 08:12
跪求啊!!用VHDL如何编译一个256*8的ROM,急用啊!望大虾们多多帮忙啊~~最好有程序的!
最佳答案
  • 五星知识达人网友:神也偏爱
  • 2021-04-27 09:27
xilinx还是altera的,一般RAM/ROM都是自带IP的,你生成256*8的ROM就自动生成代码了。
全部回答
  • 1楼网友:十鸦
  • 2021-04-27 10:43
(刚刚复习考试,在老师ppt上看的,给你作参考容量稍微改下吧,)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity rams_21a is
port (clk : in std_logic;
en : in std_logic;
addr : in std_logic_vector(5 downto 0);
data : out std_logic_vector(19 downto 0));
end rams_21a;
architecture syn of rams_21a is
type rom_type is array (63 downto 0) of std_logic_vector (19 downto 0);
signal ROM : rom_type:= (X"0200A", X"00300", X"08101", X"04000",
X"08601", X"0233A",X"00300", X"08602", X"02310", X"0203B", X"08300",
X"04002",X"08201", X"00500", X"04001", X"02500", X"00340",X"00241",X"04002",
X"08300", X"08201", X"00500", X"08101", X"00602",X"04003", X"0241E",
X“00301”, X"00102", X"02122", X"02021",X"00301", X"00102", X"02222",
X"04001", X"00342", X"0232B",X"00900", X"00302", X"00102", X"04002",
X"00900", X"08201",X"02023", X"00303", X"02433", X"00301", X"04004",
X"00301",X"00102", X"02137",X"02036", X"00301", X"00102", X"02237",
X"04004", X"00304", X"04040", X"02500", X"02500", X"02500",X"0030D",
X"02341", X"08201", X"0400D");
begin
process (clk)
begin
 if rising_edge(clk) then
  if (en = '1') then
   data <= ROM(conv_integer(addr));
  end if;
 end if;
end process;
end syn;
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯