永发信息网

用vhdl设计4位同步二进制加法计数器

答案:1  悬赏:20  手机版
解决时间 2021-04-03 15:53
  • 提问者网友:放下
  • 2021-04-03 09:29
用vhdl设计4位同步二进制加法计数器
最佳答案
  • 五星知识达人网友:底特律间谍
  • 2021-04-03 10:25
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT4B IS
PORT ( CLK,RST : IN STD_LOGIC;
DOUT : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) );
END;
ARCHITECTURE DACC OF CNT4B IS
SIGNAL Q1 : STD_LOGIC_VECTOR (3 DOWNTO 0);
BEGIN
PROCESS(CLK,RST)
BEGIN
IF RST = '0' THEN Q1<="0000";
ELSIF CLK'EVENT AND CLK = '0' THEN
Q1<=Q1+1;
END IF;
END PROCESS;
DOUT<=Q1 ;
END;追问谢谢追答不客气。请采纳。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯