填空下面程序是n输入与门的VHDL描述,试补充完整。
Library ieee;
use (ieee.std_logic1164 ).all;
entity andn is
( )(n : integer);-- 类属参数声明
port (a : in std_logic_vector( ( ) downto 0);
c : out std_logic);
end andn ;
( ) behav of ( ) is-- 结构体声明
begin
process ( )
( ) int : std_logic;-- 变量声明
begin
int:= ( ) ;-- 变量赋初值
for I in a'length-1 downto 0 loop-- 循环判断
if a(i) = '0' then
int := '0';
end if;
end loop;
c <=( ) ;-- 输出判断结果
end process;
end behav;
VHDL 相关
答案:3 悬赏:50 手机版
解决时间 2021-02-04 02:10
- 提问者网友:温柔港
- 2021-02-03 10:08
最佳答案
- 五星知识达人网友:轻雾山林
- 2021-02-03 11:06
Library ieee;
use (ieee.std_logic1164 ).all;
entity andn is
(generic)(n : integer);-- 类属参数声明
port (a : in std_logic_vector( ( n ) downto 0);
c : out std_logic);
end andn ;
(architecture) behav of ( andn ) is-- 结构体声明
begin
process ( a )
( variable ) int : std_logic;-- 变量声明
begin
int:= ( '1' ) ;-- 变量赋初值
for I in a'length-1 downto 0 loop-- 循环判断
if a(i) = '0' then
int := '0';
end if;
end loop;
c <=( int ) ;-- 输出判断结果
end process;
end behav;
use (ieee.std_logic1164 ).all;
entity andn is
(generic)(n : integer);-- 类属参数声明
port (a : in std_logic_vector( ( n ) downto 0);
c : out std_logic);
end andn ;
(architecture) behav of ( andn ) is-- 结构体声明
begin
process ( a )
( variable ) int : std_logic;-- 变量声明
begin
int:= ( '1' ) ;-- 变量赋初值
for I in a'length-1 downto 0 loop-- 循环判断
if a(i) = '0' then
int := '0';
end if;
end loop;
c <=( int ) ;-- 输出判断结果
end process;
end behav;
全部回答
- 1楼网友:雪起风沙痕
- 2021-02-03 12:13
ise有自带的compxlibgui.exe可以按照不同的仿真器编译库文件,编译好后加入到modelsim的库当中就行了
- 2楼网友:拜訪者
- 2021-02-03 11:48
generic
n downto 0
architecture behav of andn is
process(a)
variable
int:=a;
c<=int;
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯