永发信息网

提供一个RTL级描述的verilog程序,并解释下怎么看出是RTL级描述的

答案:1  悬赏:20  手机版
解决时间 2021-03-22 16:40
  • 提问者网友:夢醒日落
  • 2021-03-22 10:52
提供一个RTL级描述的verilog程序,并解释下怎么看出是RTL级描述的
最佳答案
  • 五星知识达人网友:独行浪子会拥风
  • 2021-03-22 12:10
always@(poseadge clk or negeadge rst_n)
begin
if(~rst_n)
counter <= 8'h00;
else
counter <= counter + 8'h01;
end

RTL级即寄存器传输级,也就是说,代码描述的是寄存器以及它们之间的组合电路(传输)。上面这个例子,counter是8位寄存器,而对counter进行赋值则是组合电路了,代表了数据的传输。RTL是verilog编程中最常用的抽象级。

书上的原话:
For RTL design, you use "register", "Flip/flop", "combination logic", "mux"
as basic building element to setup your whole chip.
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯