永发信息网

systemverilog 为什么要用$cast类型转换函数

答案:2  悬赏:0  手机版
解决时间 2021-01-04 10:20
  • 提问者网友:嗝是迷路的屁
  • 2021-01-03 13:31
systemverilog 为什么要用$cast类型转换函数
最佳答案
  • 五星知识达人网友:从此江山别
  • 2021-01-10 05:02
1)能将扩展类句柄赋值给基类句柄
2)不能将基类句柄赋值给扩展类句柄,如果要赋值,则需要用cast来转换
3)个人认为是为了方便又灵活地调用同时存在于基类和扩展类中的方法(函数、任务)
全部回答
  • 1楼网友:洎扰庸人
  • 2021-01-10 06:11
1)能将扩展类句柄赋值给基类句柄 2)不能将基类句柄赋值给扩展类句柄,如果要赋值,则需要用cast来转换 3)个人认为是为了方便又灵活地调用同时存在于基类和扩展类中的方法(函数、任务) 如果您对我的回答有不满意的地方,还请您继续追问; 答题不易,互相理解,互相帮助!
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯