永发信息网

FPGA和VHDL的关系

答案:5  悬赏:50  手机版
解决时间 2021-01-29 09:50
  • 提问者网友:谁的错
  • 2021-01-29 04:38
FPGA和VHDL的关系
最佳答案
  • 五星知识达人网友:玩世
  • 2021-01-29 05:52
其实他们说的都不是很好,我是做FPGA的,应该这样说现在的控制芯片分为两大类,一类是软件编程的(如单片机,ARM,DSP)还有一类是硬件编程的(如FPGA,CPLD)。他们有什么区别,单片机等是通过执行程序来做事的,但FPGA不同,我们用VHDL语言编程,下载后不是让FPGA执行程序,而是通过程序改变FPGA内部电路。使FPGA变成我们设计的那种电路图,C语言等是软件语言,芯片执行的时候是一条条执行,而VHDL是硬件语言,执行的时候是并行的,就是所有的语句块同时执行。VHDL是一种语言,是一种硬件语言,可以编出我们要的电路图。FPGA是一种芯片,里面全是门电路,触发器,通过VHDL程序的要求完成门电路的连接。
全部回答
  • 1楼网友:迟山
  • 2021-01-29 10:48
VHDL是FPGA编程语言的一种,进行FPGA设计时 首先用VHDL或者Verilog写源代码 ,然后用综合工具将源代码综合成网表,下一步通过布局布线工具(与FPGA具体型号相关)将综合的网表映射到具体型号的FPGA上(包括布局布线,期间加入时序约束,管脚约束),基本就是这么一个流程,一般的大厂商的开发工具都是集成了上述所有的工具,如altera的quartus ,xilinx的ISE 以及actel的libero
  • 2楼网友:过活
  • 2021-01-29 09:13
我是在明德扬里看到一些关于FPGA方面的,它的视频学习其中有一个专门讲解
VHDL的课程,我想两者的关系应该是相辅相成的
  • 3楼网友:迷人又混蛋
  • 2021-01-29 07:59
一楼说的对,VHDL是硬件编程语言,如C语言,B语言,汇编语言一样,仅是一种语言而已。
FPGA这东西我也理解不好,
在百度百科上找到一段话“ 1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。   2)FPGA可做其它全定制或半定制ASIC电路的中试样片。   3)FPGA内部有丰富的触发器和I/O引脚。   4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。   5) FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。   可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。”
  • 4楼网友:千杯敬自由
  • 2021-01-29 06:49
英文可以这么写,不过改成 code by FPGA design language(vhdl)更好
vhdl是语言0
fpga是器件
fpga和vhdl的关系,就像8051单片机和c语言的关系
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯