永发信息网

Ent和STD的区别

答案:2  悬赏:50  手机版
解决时间 2021-01-29 12:22
  • 提问者网友:十年饮冰
  • 2021-01-28 17:10
讲主要的

我想知道的就是企业版和标准版的区别在哪里,不知能否告知。先谢谢了!
最佳答案
  • 五星知识达人网友:佘樂
  • 2021-01-28 18:41
个人见解如下:
Ent —— 企业
STD —— 标准

就像软件一般有企业版和标准版的区别。
全部回答
  • 1楼网友:雾月
  • 2021-01-28 18:56
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clkgen is port(clk:in std_logic; newclk:out std_logic); end clkgen; architecture one of clkgen is signal cnter:integer range 0 to 16#752f#;--分频系数 begin process(clk) begin if clk'event and clk='1' then if cnter=16#752f# then cnter<=0;--分频系数 else cnter<=cnter+1; end if; end if; end process; process(cnter) begin if cnter<16#752f# then newclk<='1';--占空比设置 else newclk<='0'; end if; end process; end one;
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯