永发信息网

Altium designer08原理图中update PCB出现add pins to nets和add component class members二项错误

答案:1  悬赏:80  手机版
解决时间 2021-01-24 09:21
  • 提问者网友:眉目添风霜
  • 2021-01-24 00:58
Altium designer08原理图中update PCB出现add pins to nets和add component class members二项错误
最佳答案
  • 五星知识达人网友:舊物识亽
  • 2021-01-24 01:41
你更新原理图中的更改到PCB时,符号(原理图元件)引脚与封装(PCB元件)焊盘连接不上,请检查符号的引脚和封装的焊盘,特别注意他们的编号!
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯