永发信息网

请求解决Verilog中Can't resolve multiple constant drivers for net "duty_cycle[2]" at pwm.v 语

答案:1  悬赏:0  手机版
解决时间 2021-04-01 18:46
  • 提问者网友:浮克旳回音
  • 2021-03-31 21:38
请求解决Verilog中Can't resolve multiple constant drivers for net "duty_cycle[2]" at pwm.v 语法错误
最佳答案
  • 五星知识达人网友:煞尾
  • 2021-03-31 22:58
always@(posedge K1 or posedge K2)
begin
if(K1 == 1)
begin
if(duty < 6)
duty <= duty + 1;
else
duty <= duty;
end
else if(K2 == 1)
begin
if(duty > 0)
duty <= duty - 1;
else
duty <= duty;
end
else
duty <= duty;
end追问谢谢解答,用你的代码一开始duty_cycle就被赋值6,而且只有K2可以正常工作,请问原因出在哪里?追答你的duty有初始化吗?或者,复位后duty的值的多少?
如果有,你的按键输入有没有消抖?一开始就6可能是按键抖动造的
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯