永发信息网

FPGA中寄存器的数量怎么估算

答案:1  悬赏:0  手机版
解决时间 2021-11-19 21:51
  • 提问者网友:棒棒糖
  • 2021-11-19 16:06
FPGA中寄存器的数量怎么估算
最佳答案
  • 五星知识达人网友:酒醒三更
  • 2021-11-19 17:37
FPGA内部有M4K或M9K可以当存储器,用寄存器来当存储器不划算。每个LE中包涵有4LUT和一个寄存器,256*16*49 = 200704,15000LE不够用。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯