永发信息网

FPGA与DSP相连的问题,跪求大神解决之~~

答案:3  悬赏:0  手机版
解决时间 2021-11-21 03:40
  • 提问者网友:放下
  • 2021-11-20 09:30
FPGA与DSP相连的问题,跪求大神解决之~~
最佳答案
  • 五星知识达人网友:孤独入客枕
  • 2021-11-20 10:15
一般情况下啊DSP和FPGA相连都用EMIF接口,也可以用普通的IO,地址管教设置成input
数据管脚设置成inout追问我用的DSP是28335的,它是通过XINTF连接外设的,连接了5个外设,需要用FPGA做个地址译码,DSP地址是不是应该设置为输入?追答那这样,不需要FPGA ,用个CPLD就解决了!找3个GPIO跟CPLD的IO管脚相连,再把DSP的WR /RD管脚连到FPGA上,再把这5个外设的片选信号,读写信号连到cpld,然后cpld通过地址信号和DSP传过来的读写信号来控制5个外设的读写。懂不~~
全部回答
  • 1楼网友:迟山
  • 2021-11-20 11:36
关键你这相连是用来干什么?
共享数据?
控制DPS状态?
还是其他?
  • 2楼网友:胯下狙击手
  • 2021-11-20 10:27
要有时钟信号吧,数据、地址总线都是双向的,建议看下EMIF接口 verilog,网上有的
fpga没用到脚设置为输入三态
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯