永发信息网

用VHDL程序设计一个8选1数据选择器

答案:2  悬赏:60  手机版
解决时间 2021-11-12 06:46
  • 提问者网友:爱了却不能说
  • 2021-11-11 23:02
用VHDL程序设计一个8选1数据选择器
最佳答案
  • 五星知识达人网友:玩世
  • 2021-11-12 00:40
LIBRARY IEEE;
USE IEEE.Std_logic_1164.ALL;
ENTITY Mux8 IS
PORT(sel:IN Std_logic_Vector(2 DOWNTO 0);
In_s:IN Std_logic_Vector(7 DOWNTO 0);
y:OUT Std_logic);
END Mux8;
ARCHITECTURE behave OF Mux8 IS
BEGIN
PROCESS(sel,In_s)
BEGIN
CASE sel IS
WHEN "111" => y <= In_s(7);
WHEN "011" => y <= In_s(6);
WHEN "101" => y <= In_s(5);
WHEN "100" => y <= In_s(4);
WHEN "011" => y <= In_s(3);
WHEN "010" => y <= In_s(2);
WHEN "001" => y <= In_s(1);
WHEN "000" => y <= In_s(0);
WHEN OTHERS => NULL;
END CASE;
END PROCESS;
END behave;
将上面描述中的全角字符改成半角字符后,就可以通过编译了。
全部回答
  • 1楼网友:归鹤鸣
  • 2021-11-12 02:05
就这个要求?
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯