永发信息网

求(EDA)一个七人表决器的程序

答案:1  悬赏:40  手机版
解决时间 2021-03-26 16:56
  • 提问者网友:聂風
  • 2021-03-26 09:17
求(EDA)一个七人表决器的程序
最佳答案
  • 五星知识达人网友:低血压的长颈鹿
  • 2021-03-26 10:30
库和实体简单 就不给你写了 实体名叫biaojue 输入是7位的名叫biaojue 输出一位q
architecture a of biaojue
signal tmp:std_logic_vector(2 downto 0);
tmp<="000";
begin
tmp<=tmp+biaojue(0);
tmp<=tmp+biaojue(1);
tmp<=tmp+biaojue(2);
tmp<=tmp+biaojue(3);
tmp<=tmp+biaojue(4);
tmp<=tmp+biaojue(5);
tmp<=tmp+biaojue(6);
tmp<=tmp+biaojue(7);
process cmp(tmp)
q<=0;
if tmp>3 then
q<='1';
endif;
end process;
end a
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯