永发信息网

在fpga中调用双口ram出现这种错误,哪位大侠可以帮我解决下,我还是个菜鸟

答案:3  悬赏:30  手机版
解决时间 2021-11-16 11:25
  • 提问者网友:斑駁影
  • 2021-11-15 11:36
在fpga中调用双口ram出现这种错误,哪位大侠可以帮我解决下,我还是个菜鸟
最佳答案
  • 五星知识达人网友:几近狂妄
  • 2021-11-15 11:48
你的RAM 地址是5位的 不是说你读写地址位宽不等
全部回答
  • 1楼网友:由着我着迷
  • 2021-11-15 13:28
连接的signal(或reg 、wire)的数据宽度与rAM1的读地址线数据宽度不一致吧
  • 2楼网友:一叶十三刺
  • 2021-11-15 13:03
模块端口定义的信号宽度和实际接入的信号宽度不一致,一个是4位,一个是5位追问是一致的,到时候可以拷程序给你看的,呵呵。不过还是谢谢了好
component ram1
PORT
(
rdaddress : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
wraddress : IN STD_LOGIC_VECTOR (3 DOWNTO 0);

);
signal rd_addr:std_logic_vector(3 downto 0);
signal wr_addr:std_logic_vector(3 downto 0);
U1:ram1 port map(clk,ram_in,rd_addr,rd_en,wr_addr,wr_en,ram_out);
字数限制我省略了一些哈追答你看一下ram1的entity定义,肯定有一个地方定义有问题追问真的没问题,我检查了好多次。要不加qq我把整个程序发给你?576974463.对了,验证信息是蔡威
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯