永发信息网

如何实现单片机与CPLD通讯

答案:5  悬赏:0  手机版
解决时间 2021-01-12 06:03
  • 提问者网友:两耳就是菩提
  • 2021-01-12 00:11
如何实现单片机与CPLD通讯
最佳答案
  • 五星知识达人网友:归鹤鸣
  • 2021-01-12 00:46
有以下几种办法:
1.使用8951的外部寻址方式,将单片机的数据总线 部分地址总线和控制总线连接到CPLD 然后再CPLD里根据单片机的外部寻址时序读取数据,这种方式CPLD处理最简单,速度最快。但缺点是需要连接的线很多
2.串行方式通信:这个和协议有关系,选一种比较好的推荐一下
1)普通的UART那种串行通信方式一个起始位 8位数据位 一个结束位 CPLD检测到下降沿认为新的数据到来,然后开始将端口的数据读入 当然波特率要你自己来定
2)SPI 方式 这需要单片机测用端口模拟 两个数据线 一个时钟线
CPLD只要检测到上升沿就开始读入数据
UART方式简单 因为51单片机内部本身就集成了串行通讯的外设 但SPI通信速度快
希望对你有帮助!
全部回答
  • 1楼网友:封刀令
  • 2021-01-12 06:37
关键在通讯协议上,看你怎么定义了,简单的cpld检测单片机高低电平也是通讯。
  • 2楼网友:执傲
  • 2021-01-12 05:25
怎么通信都可以啊!CPLD编写什么协议都行啊!
  • 3楼网友:迷人又混蛋
  • 2021-01-12 03:47
并行、IIC、UART、SPI,看你硬件资源、协议熟练程度和项目要求了。
  • 4楼网友:上分大魔王
  • 2021-01-12 02:07
1。可以用CPLD模拟一个RS232串口,利用这个串口与单片机通信
下面是用VHDL写的一个串口程序,你可以根据你的通信协议对这个程序略作修改即可使用
-- 本模块的功能是验证实现基本的串口通信的功能。
--
-- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控
--制器,10个bit是1位起始位,8个数据位,1个结束
--位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实
--现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是
--9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间
--划分为8个时隙以使通信同步.
:串口处于全双工工作状态,
--字符串(串口调试工具设成按ASCII码接受方式);
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY UART IS
PORT (
clk : IN std_logic;
rst : IN std_logic;
rxd : IN std_logic; --串行数据接收端
txd : OUT std_logic; --串行数据发送端
en : OUT std_logic; -- 数码管使能
seg_data : OUT std_logic_vector(7 DOWNTO 0); --数码管数据
key_input : IN std_logic --按键输入
);
END UART;
ARCHITECTURE arch OF UART IS
--//////////////////inner reg////////////////////
SIGNAL div_reg : std_logic_vector(15 DOWNTO 0);--分频计数器,分频值由波特率决定。分频后得到频率8倍波特率的时钟
SIGNAL div8_tras_reg : std_logic_vector(2 DOWNTO 0);--该寄存器的计数值对应发送时当前位于的时隙数
SIGNAL div8_rec_reg : std_logic_vector(2 DOWNTO 0); --寄存器的计数值对应接收时当前位于的时隙数
SIGNAL state_tras : std_logic_vector(3 DOWNTO 0); -- 发送状态寄存器
SIGNAL state_rec : std_logic_vector(3 DOWNTO 0); -- 接受状态寄存器
SIGNAL clkbaud_tras : std_logic; --以波特率为频率的发送使能信号
SIGNAL clkbaud_rec : std_logic; --以波特率为频率的接受使能信号
SIGNAL clkbaud8x : std_logic; --以8倍波特率为频率的时钟,它的作用是将发送或接受一个bit的时钟周期分为8个时隙
SIGNAL recstart : std_logic; -- 开始发送标志
SIGNAL recstart_tmp : std_logic; --开始接受标志
SIGNAL trasstart : std_logic;
SIGNAL rxd_reg1 : std_logic; --接收寄存器1
SIGNAL rxd_reg2 : std_logic; --接收寄存器2,因为接收数据为异步信号,故用两级缓存
SIGNAL txd_reg : std_logic; --发送寄存器
SIGNAL rxd_buf : std_logic_vector(7 DOWNTO 0);--接受数据缓存
SIGNAL txd_buf : std_logic_vector(7 DOWNTO 0);--发送数据缓存
SIGNAL send_state : std_logic_vector(2 DOWNTO 0);--每次按键给PC发送"Welcome"字符串,这是发送状态寄存器
SIGNAL cnt_delay : std_logic_vector(19 DOWNTO 0);--延时去抖计数器
SIGNAL start_delaycnt : std_logic; --开始延时计数标志
SIGNAL key_entry1 : std_logic; --确定有键按下标志
SIGNAL key_entry2 : std_logic; --确定有键按下标志
--//////////////////////////////////////////////
CONSTANT div_par : std_logic_vector(15 DOWNTO 0) := "0000000100000100";
--分频参数,其值由对应的波特率计算而得,按此参数分频的时钟频率是波倍特率的8倍,此处值对应9600的波特率,即分频出的时钟频率是9600*8
SIGNAL txd_xhdl3 : std_logic;
BEGIN
en <='0' ;--7段数码管使能信号赋值
txd <= txd_xhdl3;
txd_xhdl3 <= txd_reg ;
PROCESS(clk,rst)
BEGIN

IF (NOT rst = '1') THEN
cnt_delay <= "00000000000000000000";
start_delaycnt <= '0';
ELSIF(clk'EVENT AND clk='1')THEN
IF (start_delaycnt = '1') THEN
IF (cnt_delay /= "11000011010100000000") THEN
cnt_delay <= cnt_delay + "00000000000000000001";
ELSE
cnt_delay <= "00000000000000000000";
start_delaycnt <= '0';
END IF;
ELSE
IF ((NOT key_input='1') AND (cnt_delay = "00000000000000000000")) THEN
start_delaycnt <= '1';
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(clk,rst)
BEGIN

IF (NOT rst = '1') THEN
key_entry1 <= '0';
ELSIF(clk'EVENT AND clk='1')THEN
IF (key_entry2 = '1') THEN
key_entry1 <= '0';
ELSE
IF (cnt_delay = "11000011010100000000") THEN
IF (NOT key_input = '1') THEN
key_entry1 <= '1';
END IF;
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(clk,rst)
BEGIN

IF (NOT rst = '1') THEN
div_reg <= "0000000000000000";
ELSIF(clk'EVENT AND clk='1')THEN
IF (div_reg = div_par - "0000000000000001") THEN
div_reg <= "0000000000000000";
ELSE
div_reg <= div_reg + "0000000000000001";
END IF;
END IF;
END PROCESS;
PROCESS(clk,rst) --分频得到8倍波特率的时钟
BEGIN

IF (NOT rst = '1') THEN
clkbaud8x <= '0';
ELSIF(clk'EVENT AND clk='1')THEN
IF (div_reg = div_par - "0000000000000001") THEN
clkbaud8x <= NOT clkbaud8x;
END IF;
END IF;
END PROCESS;
PROCESS(clkbaud8x,rst)
BEGIN
IF (NOT rst = '1') THEN
div8_rec_reg <= "000";
ELSE IF(clkbaud8x'EVENT AND clkbaud8x = '1') THEN
IF (recstart = '1') THEN --接收开始标志
div8_rec_reg <= div8_rec_reg + "001";--接收开始后,时隙数在8倍波特率的时钟下加1循环
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(clkbaud8x,rst)
BEGIN
IF (NOT rst = '1') THEN
div8_tras_reg <= "000";
ELSE IF(clkbaud8x'EVENT AND clkbaud8x = '1') THEN
IF (trasstart = '1') THEN
div8_tras_reg <= div8_tras_reg + "001";--发送开始后,时隙数在8倍波特率的时钟下加1循环
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(div8_rec_reg)
BEGIN
IF (div8_rec_reg = "最简单是用CPLD 模拟一个SPI接口,协议也比较简单,3跟线就好了(一根片选CS,一根数据输入DI,一根数据输出DO),再定义几个命令就好了。如果楼主了解I2C协议更好,只需两根线就好了。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯