永发信息网

求verilog读频率程序

答案:3  悬赏:0  手机版
解决时间 2021-11-20 21:04
  • 提问者网友:玫瑰园
  • 2021-11-20 04:24
求verilog读频率程序
最佳答案
  • 五星知识达人网友:白昼之月
  • 2021-11-20 05:41
计数器
打个比方,50MHz的记到50时,停止,这时读取另一时钟下的计数器。
比较两个计数器的值,可以得到两者之间的频率关系,比如如果另外一个是100,那么就是100Mhz。
这个只是原理,但是在实际的处理中,要注意复位信号的释放、两个时钟的相位关系、跨时钟域的处理及延时等,做得好都会降低误差。追问能给我一个例程吗?
全部回答
  • 1楼网友:千夜
  • 2021-11-20 07:38
用系统时钟上升沿来计数,不过这只能是未知频率比系统时钟频率低才能用,精度也不高追问能给个例程吗?追答没有 。。就是一个思路
  • 2楼网友:神的生死簿
  • 2021-11-20 07:09
思路是这样的,有两个脉冲,一个是已知的,另一个是未知的,在相同时间内分别计算这两个脉冲次数,N1/f1=N2/f2=定时时间t,
具体程序在《可编程逻辑器件及EDA技术---数字系统设计与SOPC技术》东北大学李景华,里面有程序,不过用的是vhdl追问对 就是这样的 我通过已知脉冲计数来计时,在所计时间内读取未知脉冲数,这样就可以计算出未知脉冲的频率了 可是我是初学者 现在想要程序,能不能帮我弄到呀?那本书现在我也没有,最好是用verilog 不懂VHDL 大谢了!!!!
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯