永发信息网

verilog语言如何仿真?

答案:4  悬赏:80  手机版
解决时间 2021-03-10 02:44
  • 提问者网友:心牵心
  • 2021-03-09 13:02
verilog语言如何仿真?
最佳答案
  • 五星知识达人网友:想偏头吻你
  • 2021-03-09 13:11
可以利用软件仿真。
最常用的软件是QUARTUS II,可以从网络上下载破解版。9.0或者10.0什么的都行。
进入软件后,新建工程project,再建立.v文件,输入你的代码,然后编译,利用软件自带的simulator功能进行仿真,即可。
欢迎追问~
全部回答
  • 1楼网友:独钓一江月
  • 2021-03-09 16:03
用quartus2
  • 2楼网友:西岸风
  • 2021-03-09 14:56
用ModelSim或ISE自带的Isim
  • 3楼网友:十年萤火照君眠
  • 2021-03-09 13:18
楼上的,QuartusII不过是Alter自己的开发工具,兼带简单的仿真功能。
1.如果是FPGA的话,你可以用Modelsim,足够了,自己写testbench,根据需要产生相应的激励信号,然后来测试你的模块功能是否正确。
2.如果是ASIC的话,可以用VCS,这个复杂了,testbench一般使用ncverilog。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯