永发信息网

VHDL中标准逻辑向量a输出高阻态,a<=(others=>Z);这么写对吗?

答案:2  悬赏:80  手机版
解决时间 2021-03-08 02:25
  • 提问者网友:刺鸟
  • 2021-03-07 15:55
VHDL中标准逻辑向量a输出高阻态,a<=(others=>Z);这么写对吗?
最佳答案
  • 五星知识达人网友:痴妹与他
  • 2021-03-07 16:15
你写的是对的
全部回答
  • 1楼网友:归鹤鸣
  • 2021-03-07 16:24
你好! 抱歉!这个问题不懂哦,请再加油吧! 打字不易,采纳哦!
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息
大家都在看
推荐资讯